[1] Karthick Nagaraj Parashar, Daniel Menard, and Olivier Sentieys. Accelerated Performance Evaluation of Fixed-Point Systems With Un-Smooth Operations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33(4):599-612, April 2014. [ bib | DOI | http | .pdf ]
[2] Ganda-Stephane Ouedraogo, Matthieu Gautier, and Olivier Sentieys. A Frame-Based Domain-Specific Language for Rapid Prototyping of FPGA-Based Software Defined Radios. EURASIP Journal on Advances in Signal Processing, page 13, November 2014. [ bib | DOI | http | .pdf ]
[3] Trung Hien Nguyen, Kevin Lengle, Alexandre Bazin, Laurent Bramerie, Christophe Peucheret, Mathilde Gay, Olivier Sentieys, Jean-Claude Simon, Rama Raj, and Fabrice Raineri. Phase-preserving power limiting function using InP on SOI photonic crystal nanocavity. IEEE Photonics Technology Letters, 26(12):1215-1218, June 2014. [ bib | DOI | http | .pdf ]
[4] Syed M. A. H. Jafri, J. Piestrak, Stanislaw, Olivier Sentieys, and Sébastien Pillement. Design of the coarse-grained reconfigurable architecture DART with on-line error detection. Microprocessors and Microsystems, 38(2):124-136, March 2014. [ bib | DOI | http ]
[5] Robin Bonamy, Sebastien Bilavarn, Daniel Chillet, and Olivier Sentieys. Power Consumption Models for the Use of Dynamic and Partial Reconfiguration. Microprocessors and Microsystems, 38(8):860-872, November 2014. [ bib | DOI | http ]
[6] Matthieu Gautier, Emmanuel Casseau, Hervé Yviquel, Ganda Stephane Ouedraogo, Mickael Raulet, and Olivier Sentieys. Rapid Prototyping for Video Coding over Flexible Radio Links. In Multimedia over Cognitive Radio Networks: Algorithms, Protocols, and Experiments. CRC Press, December 2014. [ bib | http ]
[7] Olivier Sentieys, Daniel Menard, David Novo, and Karthick Parashar. Automatic Fixed-Point Conversion: a Gateway to High-Level Power Optimization. Tutorial at IEEE/ACM Design Automation and Test in Europe (DATE), March 2014. [ bib | http ]
[8] Olivier Sentieys, Antoine Courtay, Christophe Huriaux, and Sébastien Pillement. Method And Device For Programming an Fpga, January 2014. [ bib | http ]
[9] Gaël Deest, Tomofumi Yuki, Olivier Sentieys, and Steven Derrien. Toward Scalable Source Level Accuracy Analysis for Floating-point to Fixed-point Conversion. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 726-733, San Jose, United States, November 2014. [ bib | http | .pdf ]
[10] Christophe Huriaux, Olivier Sentieys, and Russell Tessier. FPGA Architecture Support for Heterogeneous, Relocatable Partial Bitstreams. In 24th International Conference on Field Programmable Logic and Applications (FPL), Munich, Germany, September 2014. IEEE. [ bib | DOI | http | .pdf ]
[11] Vivek Tovinakere Dwarakanath, Olivier Sentieys, Steven Derrien, and Christophe Huriaux. Low Power Reconfigurable Controllers for Wireless Sensor Network Nodes. In 22nd IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), pages 230-233, Boston, United States, May 2014. [ bib | DOI | http | .pdf ]
[12] Le-Quang-Vinh Tran, Olivier Berder, and Olivier Sentieys. RIC-MAC: a MAC Protocol for Low-Power Cooperative Wireless Sensor Networks. In IEEE Wireless Communications and Networking Conference (WCNC), pages 1944-1949, Istanbul, Turkey, April 2014. [ bib | DOI | http | .pdf ]
[13] Amine Didioui, Carolyn Bernier, Le-Quang-Vinh Tran, and Olivier Sentieys. EnvAdapt: An Energy-Aware Simulation Framework for Power-Scalable Transceivers for Wireless Sensor Networks. In 20th European Wireless Conference, pages 1-6, Barcelona, Spain, May 2014. [ bib | http ]
[14] T.N. Le, A. Pegatoquet, Olivier Berder, and Olivier Sentieys. A Power Manager with Balanced Quality of Service for Energy-Harvesting Wireless Sensor Nodes. In International Workshop on Energy Neutral Sensing Systems (ENSSys) - ACM SenSys Conference, pages 19-24, Memphis, United States, November 2014. [ bib | http | .pdf ]
[15] Rizwan Masood, Roxana Burghelea, Arnaud Carer, Mickaël Le Gentil, Olivier Sentieys, Patrice Pajusco, Christian Person, and Ronan Sauleau. Indoor Off-Body Channel Measurements using miniaturized Chip Antennas with Pattern Diversity. In 44th European Microwave Conference (EuMC), Rome, Italy, October 2014. [ bib | http ]
[16] Trung Hien Nguyen, Fausto Gomez Agis, Mathilde Gay, Luiz Anet Neto, Pascal Scalart, Christophe Peucheret, Michel Joindot, Olivier Sentieys, Jean-Claude Simon, and Laurent Bramerie. IQ Imbalance Compensation Based on Maximum SNR Estimation in Coherent QPSK Systems. In 16th International Conference on Transparent Optical Networks (ICTON 2014), page paper Tu.C1.3, Graz, Austria, July 2014. IEEE ( (ISBN 978-1-4799-5600-5)). [ bib | DOI | http | .pdf ]
[17] Christophe Huriaux, Olivier Sentieys, and Russell Tessier. FPGA Architecture Enhancements to Support Heterogeneous Partially Reconfigurable Regions. 22nd IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), May 2014. [ bib | DOI | http ]
[18] Ganda Stephane Ouedraogo, Matthieu Gautier, and Olivier Sentieys. Frame-based Modeling for Automatic Synthesis of FPGA-Software Defined Radio. In 9th International Conference on Cognitive Radio Oriented Wireless Networks (CrownCom), pages 203-208, Oulu, Finland, June 2014. [ bib | http | .pdf ]
[19] Matthieu Gautier, Ganda Stephane Ouedraogo, and Olivier Sentieys. Design Space Exploration in an FPGA-Based Software Defined Radio. In Euromicro Conference on Digital System Design (DSD), Verona, Italy, August 2014. [ bib | DOI | http | .pdf ]

This file was generated by bibtex2html 1.96.