[1] Lei Mo, Angeliki Kritikakou, and Olivier Sentieys. Controllable QoS for Imprecise Computation Tasks on DVFS Multicores with Time and Energy Constraints. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, pages 1 -- 14, July 2018. [ bib | DOI | http | .pdf ]
[2] Jiating Luo, Cédric Killian, Sebastien Le Beux, Daniel Chillet, Olivier Sentieys, and Ian O’Connor. Offline Optimization of Wavelength Allocation and Laser Power in Nanophotonic Interconnects. ACM Journal on Emerging Technologies in Computing Systems, 14(2):1 -- 19, July 2018. [ bib | DOI | http | .pdf ]
[3] Lei Mo, Angeliki Kritikakou, and Olivier Sentieys. Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pages 1 -- 10, July 2018. [ bib | DOI | http | .pdf ]
[4] Trung Hien Nguyen, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Christophe Peucheret, Fausto Gomez Agis, Olivier Sentieys, Jean-Claude Simon, and Michel Joindot. New metric for IQ imbalance compensation in optical QPSK coherent systems. Photonic Network Communications, 36(3):326--337, December 2018. [ bib | DOI | http | .pdf ]
[5] Lei Mo, Angeliki Kritikakou, and Olivier Sentieys. Imprecise Computation Task Mapping on Multi-Core Wireless Sensor Networks. In Encyclopedia of Wireless Networks, pages 1 -- 6. October 2018. [ bib | DOI | http | .pdf ]
[6] Lei Mo, Angeliki Kritikakou, and Olivier Sentieys. Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores. In IEEE/ACM Embedded Systems Week (ESWEEK), pages 1--11, Torino, Italy, September 2018. [ bib | http | .pdf ]
[7] Antoine Courtay, Mickaël Le Gentil, Olivier Berder, Arnaud Carer, Pascal Scalart, and Olivier Sentieys. Zyggie: A Wireless Body Area Network platform for indoor positioning and motion tracking. In IEEE International Symposium on Circuits and Systems (ISCAS), pages 1--5, Florence, Italy, May 2018. IEEE. [ bib | DOI | http | .pdf ]
[8] Joel Ortiz Sosa, Olivier Sentieys, and Christian Roland. A Diversity Scheme to Enhance the Reliability of Wireless NoC in Multipath Channel Environment. In IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Torino, Italy, October 2018. [ bib | http | .pdf ]
[9] Jiating Luo, Van-Dung Pham, Cédric Killian, Daniel Chillet, Ian O'Connor, Olivier Sentieys, and Sébastien LE BEUX. Run-Time management of energy-performance trade-off in Optical Network-on-Chip. In XXXIII Conference on Design of Circuits and Integrated Systems (DCIS), pages 1--6, Lyon, France, November 2018. [ bib | http | .pdf ]
[10] Olivier Sentieys. Playing with Number Representations and Operator-Level Approximations. In Keynote at the Third Workshop on Approximate Computing (AxC), in conjunction with IEEE European Test Symposium (ETS), June 2018. [ bib | http | .pdf ]
[11] Alberto Bosio, Daniel Menard, and Olivier Sentieys. A Comprehensive Analysis of Approximate Computing Techniques: From Component- to Application-Level. Tutorial at Embedded Systems Week (ESWEEK), September 2018. [ bib | http | http ]
[12] Gautier Berthou, Arnaud Carer, Henri-Pierre Charles, Steven Derrien, Kevin Marquet, Ivan Miro-Panades, Davide Pala, Isabelle Puaut, Fabrice Rastello, Tanguy Risset, Erven Rohou, Guillaume Salagnac, Olivier Sentieys, and Bharam Yarahmadi. The INRIA ZEP project: NVRAM and Harvesting for Zero PowerComputations. 10th Annual Non-Volatile Memories Workshop (NVMW), March 2018. Poster. [ bib | http | .pdf ]
[13] Nicolas Roux, Baptiste Vrigneau, and Olivier Sentieys. Estimating Power Loads from Partial Appliance States. 4th International Workshop on Non-Intrusive Load Monitoring (NILM), March 2018. Poster. [ bib | http | .pdf ]

This file was generated by bibtex2html 1.98.