[1] Jean Charles Naud, Daniel Menard, and Olivier Sentieys. évaluation de la précision en virgule fixe dans le cas des structures conditionnelles. Techniques et Sciences Informatiques, 32(2):179-201, January 2013. [ bib | http ]
[2] Yohann R J Thomas, Matthieu Picot, Arnaud Carer, Olivier Berder, Olivier Sentieys, and Frédéric Barrière. A single sediment-microbial fuel cell powering a wireless telecommunication system. Journal of Power Sources, 241:703-708, November 2013. [ bib | DOI | http | .pdf ]
[3] Timo Stripf, Oliver Oey, Thomas Bruckschloegla, Juergen Becker, Gerard Rauwerda, Kim Sunesen, George Goulas, Panayiotis Alefragisc, Nikolaos Voros, S., Steven Derrien, Olivier Sentieys, Nikolaos Kavvadias, Grigoris Dimitroulakos, Kostas Masselos, Dimitrios Kritharidis, Nikolaos Mitas, and Thomas Perschke. Compiling scilab to high performance embedded multicore systems. Microprocessors and Microsystems, 37(8):1033-1049, November 2013. [ bib | DOI | http | .pdf ]
[4] Syed M. A. H. Jafri, Stanislaw Piestrak, J., Olivier Sentieys, and Sébastien Pillement. Design of the coarse-grained reconfigurable architecture dart with on-line error detection. Microprocessors and Microsystems, page MICPRO 2101, December 2013. [ bib | DOI | http | .pdf ]
[5] Trung Hien Nguyen, Fausto Gomez Agis, Laurent Bramerie, Mathilde Gay, Jean-Claude Simon, and Olivier Sentieys. Impact of sampling-source extinction ratio in linear optical sampling. IEEE Photonics Technology Letters, 27(7):663-666, April 2013. [ bib | DOI | http | .pdf ]
[6] Le-Quang-Vinh Tran, Olivier Berder, and Olivier Sentieys. On the performance of distributed space-time coded cooperative relay networks based on inter-relay communications. EURASIP Journal on Wireless Communications and Networking, 1:1-15, October 2013. [ bib | http | .pdf ]
[7] Vaibhav Bhatnagar, Ganga Stephane Ouedraogo, Matthieu Gautier, Arnaud Carer, and Olivier Sentieys. An fpga software defined radio platform with a high-level synthesis design flow. In IEEE International Vehicular Technology conference (VTC-Spring13), page 12, Germany, June 2013. [ bib | DOI | http | .pdf ]
[8] Karthick Parashar, Daniel Menard, and Olivier Sentieys. A polynomial time algorithm for solving the word-length optimization problem. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Diego, United States, November 2013. [ bib | DOI | http | .pdf ]
[9] Muhammad Mahtab Alam, Olivier Berder, Daniel Menard, and Olivier Sentieys. On the energy savings of adaptive transmit power for wireless sensor networks radio transceivers. In 26th International Conference on Architecture of Computing Systems (ARCS), Prague, Czech Republic, February 2013. [ bib | http | .pdf ]
[10] Trong-Nhan Le, Olivier Sentieys, Olivier Berder, Alain Pegatoquet, and Cécile Belleudy. Adaptive filter for energy predictor in energy harvesting wireless sensor networks. In 26th IEEE International Conference on Architecture of Computing Systems (ARCS), 3rd Workshop on Ultra Low Power (WUPS), pages 1-4, Prague, Czech Republic, February 2013. [ bib | http ]
[11] Trong-Nhan Le, Alain Pegatoquet, Olivier Sentieys, Olivier Berder, and Cécile Belleudy. Duty-cycle power manager for thermal-powered wireless sensor networks. In 24th IEEE International Symposium on Personal, Indoor and Mobile Radio Communications, pages 1645-1649, Londres, United Kingdom, September 2013. [ bib | DOI | http | .pdf ]
[12] Trong-Nhan Le, Alain Pegatoquet, Olivier Berder, and Olivier Sentieys. Multi-source power manager for super-capacitor based energy harvesting wireless sensor networks. In 1st International Workshop on Energy Neutral Sensing Systems (ENSSys) organized in conjunction with 11th ACM SenSys Conference, page Paper 19, Rome, Italy, November 2013. [ bib | DOI | http | .pdf ]
[13] Trong-Nhan Le, Michele Magno, Alain Pegatoquet, Olivier Berder, Olivier Sentieys, and Emanuel Popovici. Ultra low power asynchronous mac protocol using wake-up radio for energy neutral wireless sensor networks. In 1st International Workshop on Energy-Neutral Sensing Systems (ENSsys) organized in conjunction with 11th ACM SenSys Conference, page Paper 10, Rome, Italy, November 2013. [ bib | DOI | http | .pdf ]
[14] Muhammad Adeel Ahmed Pasha, Steven Derrien, and Olivier Sentieys. Component-level datapath merging in system-level design of wireless sensor node controllers for fpga-based implementations. In Euromicro Conference on Digital System Design (DSD), pages 543-550, Santander, Spain, September 2013. IEEE. [ bib | DOI | http ]
[15] Pramod Udupa, Olivier Sentieys, and Pascal Scalart. A block-parallel architecture for initial and fine synchronization in ofdm systems. In IEEE International Conference on Communications (ICC), pages 4761-4765, Budapest, Hungary, 2013. [ bib | DOI | http | .pdf ]
[16] Pramod Udupa, Olivier Sentieys, and Pascal Scalart. A novel hierarchical low complexity synchronization method for ofdm systems. In 2013 IEEE 77th Vehicular Technology Conference (VTC Spring), pages 1-5, Dresden, Germany, 2013. [ bib | DOI | http | .pdf ]
[17] Amine Didioui, Carolyn Bernier, Dominique Morche, and Olivier Sentieys. Harvwsnet: A co-simulation framework for energy harvesting wireless sensor networks. In International Conference on Computing, Networking and Communications (ICNC), pages 808-812, San Diego, United States, 2013. [ bib | DOI | http | .pdf ]
[18] Amine Didioui, Carolynn Bernier, Dominique Morche, and Olivier Sentieys. Power reconfigurable receiver model for energy-aware applications. In IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS), pages 800-803, Colombus, United States, 2013. [ bib | DOI | http | .pdf ]
[19] Florian Broekaert, Amine Didioui, Carolynn Bernier, and Olivier Sentieys. Back to results prototyping an energy harvesting wireless sensor network application using harvwsnet. In Proceedings of 26th International Conference on Architecture of Computing Systems (ARCS), pages 1-6, Prague, Czech Republic, 2013. [ bib | http | .pdf ]
[20] Duc-Long Nguyen, Le-Quang-Vinh Tran, Olivier Berder, and Olivier Sentieys. A low-latency and energy-efficient mac protocol for cooperative wireless sensor networks. In Global Communications Conference (Globecom), Atlanta, United States, December 2013. IEEE. [ bib | http ]
[21] Ruifeng Zhang, Olivier Berder, and Olivier Sentieys. Energy efficient reservation-based opportunistic mac scheme in multi-hop networks. In International Symposium on Personal Indoor and Mobile Radio Communications (PIMRC), pages 1660 - 1665, London, United Kingdom, September 2013. IEEE. [ bib | DOI | http | .pdf ]
[22] Christophe Huriaux, Olivier Sentieys, and Antoine Courtay. An fpga configuration stream architecture supporting seamless hardware accelerator migration. In ConfigComp'2013, Workshop on Reconfigurable Computing V2.0: The Next Generation of Technology, Architectures and Design Tools, held in conjunction to the DATE 2013 conference, Grenoble, France, 2013. [ bib | http ]
[23] Olivier Sentieys. Efficacité énergétique : les technologies de l'information. In Rémy Mosseri and Catherine Jeandel, editors, L'énergie à découvert, pages 229-231. CNRS Editions, 2013. [ bib | http ]
[24] Ganga Stephane Ouedraogo, Matthieu Gautier, and Olivier Sentieys. Description haut niveau de formes d'ondes pour la radio logicielle sur architectures reconfigurables. In Gretsi, Brest, France, September 2013. [ bib | http | .pdf ]
[25] Pramod Udupa, Olivier Sentieys, and Laurent Bramerie. Design and implementation of dsp algorithms for 100 gbps coherent optical-ofdm (co-ofdm) systems. In XXIVe Colloque Gretsi - Traitement du Signal et des Images, pages 1-4, Brest, France, 2013. [ bib | http | .pdf ]
[26] Olivier Sentieys, Muhammad Adeel Ahmed Pasha, and Steven Derrien. Architectures de contrôleurs ultra-faible consommation pour nœuds de réseau de capteurs sans fil. In XXIVe Colloque Gretsi - Traitement du Signal et des Images, pages 1-4, Brest, France, 2013. [ bib | http | .pdf ]

This file was generated by bibtex2html 1.96.