[1] Stefano Cherubin, Giovanni Agosta, Imane Lasri, Erven Rohou, and Olivier Sentieys. Implications of Reduced-Precision Computations in HPC: Performance, Energy and Error. In International Conference on Parallel Computing (ParCo), Bologna, Italy, September 2017. [ bib | http | .pdf ]
[2] Atef Dorai, Olivier Sentieys, and Héléne Dubois. Evaluation of NoC on Multi-FPGA Interconnection Using GTX Transceiver. In 24th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Batumi, Georgia, December 2017. [ bib | http | .pdf ]
[3] Lei Mo, Angeliki Kritikakou, and Olivier Sentieys. Decomposed Task Mapping to Maximize QoS in Energy-Constrained Real-Time Multicores. In 35th IEEE International Conference on Computer Design (ICCD), page 6, Boston, United States, November 2017. [ bib | http | .pdf ]
[4] Rafail Psiakis, Angeliki Kritikakou, and Olivier Sentieys. Run-Time Instruction Replication for Permanent and Soft Error Mitigation in VLIW Processors. In 15th IEEE International New Circuits and Systems Conference (NEWCAS), pages 321--324, Strasbourg, France, June 2017. [ bib | DOI | http | .pdf ]
[5] Rafail Psiakis, Angeliki Kritikakou, and Olivier Sentieys. NEDA: NOP Exploitation with Dependency Awareness for Reliable VLIW Processors. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pages 391--396, Bochum, Germany, May 2017. [ bib | DOI | http | .pdf ]
[6] Rahul Kumar Budhwani, Rengarajan Ragavan, and Olivier Sentieys. Taking Advantage of Correlation in Stochastic Computing. In IEEE International Symposium on Circuits and Systems (ISCAS), Baltimore, United States, May 2017. [ bib | http | .pdf ]
[7] Benjamin Barrois and Olivier Sentieys. Customizing Fixed-Point and Floating-Point Arithmetic - A Case Study in K-Means Clustering. In IEEE International Workshop on Signal Processing Systems (SiPS), Lorient, France, October 2017. [ bib | http | .pdf ]
[8] Trung Hien Nguyen, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Olivier Sentieys, Jean-Claude Simon, Christophe Peucheret, and Michel Joindot. Blind transmitter IQ imbalance compensation in M-QAM optical coherent systems. IEEE/OSA Journal of Optical Communications and Networking, 9(9):D42--D50, September 2017. [ bib | DOI | http | .pdf ]
[9] Trung Hien Nguyen, Mathilde Gay, Fausto Gomez Agis, Sébastien Lobo, Olivier Sentieys, Jean-Claude Simon, Christophe Peucheret, and Laurent Bramerie. Impact of ADC parameters on linear optical sampling systems. Optics Communications, 402:362--367, November 2017. [ bib | DOI | http | .pdf ]
[10] Rengarajan Ragavan, Benjamin Barrois, Cedric Killian, and Olivier Sentieys. Pushing the Limits of Voltage Over-Scaling for Error-Resilient Applications. In IEEE/ACM Design Automation and Test in Europe (DATE), page 6, Lausanne, Switzerland, March 2017. [ bib | http | .pdf ]
[11] Benjamin Barrois, Olivier Sentieys, and Daniel Menard. The Hidden Cost of Functional Approximation Against Careful Data Sizing – A Case Study. In IEEE/ACM Design Automation and Test in Europe (DATE), page 6, Lausanne, Switzerland, 2017. [ bib | http | .pdf ]
[12] J. Luo, A. Elantably, D. Pham, C. Killian, D. Chillet, S. Le Beux, Olivier Sentieys, and I. O'Connor. Performance and Energy Aware Wavelength Allocation on Ring-Based WDM 3D Optical NoC. In IEEE/ACM Design Automation and Test in Europe (DATE), page 6, Lausanne, Switzerland, March 2017. [ bib | http | .pdf ]
[13] S. Le Beux, Olivier Sentieys, C. Killian, D. Chillet, D. Pham, and I. O'Connor. Energy and Performance Trade-off in Nanophotonic Interconnects using Coding Techniques. In IEEE/ACM Design Automation Conference (DAC), page 6, Austin, US, March 2017. [ bib | http | .pdf ]

This file was generated by bibtex2html 1.98.