Publications (full list since 2002)

312 entries « 1 of 7 »

2023

Ha, Van-Phu; Sentieys, Olivier

Maximizing Computing Accuracy on Resource-Constrained Architectures Proceedings Article

In: 26th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Antwerp, Belgium, 2023.

Links | BibTeX

Traiola, Marcello; Kritikakou, Angeliki; Sentieys, Olivier

harDNNing: a machine-learning-based framework for fault tolerance assessment and protection of Deep Neural Networks Proceedings Article

In: 26th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Antwerp, Belgium, 2023.

Links | BibTeX

2022

Kritikakou, Angeliki; Sentieys, Olivier; Hubert, Guillaume; Helen, Youri; Coulon, Jean-Francois; Deroux-Dauphin, Patrice

FLODAM: Cross-Layer Reliability Analysis Flow for Complex Hardware Designs Proceedings Article

In: 25th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Antwerp, Belgium, 2022.

Links | BibTeX

dos Santos, Fernando Fernandes; Kritikakou, Angeliki; Condia, Josie Esteban Rodriguez; Balaguera, Juan David Guerrero; Reorda, Matteo Sonza; Sentieys, Olivier; Rech, Paolo

Characterizing a Neutron-Induced Fault Model for Deep Neural Networks Journal Article

In: IEEE Transactions on Nuclear Science, 2022.

Links | BibTeX

Psiakis, Rafail; Kritikakou, Angeliki; Sentieys, Olivier

Dynamic fault-tolerant VLIW processor with heterogeneous Function Units Journal Article

In: Microprocessors and Microsystems: Embedded Hardware Design, vol. 93, pp. 104564, 2022.

Links | BibTeX

Li, Xinmei; Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Approximation-aware Task Deployment on Heterogeneous Multi-core Platforms with DVFS Journal Article

In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 1-15, 2022.

Links | BibTeX

Dupuis, Etienne; Filip, Silviu-Ioan; Sentieys, Olivier; Novo, David; O'Connor, Ian; Bosio, Alberto

Approximations in Deep Learning Book Chapter

In: Approximate Computing Techniques - From Component- to Application-Level, Chapter Approximations in Deep Learning, Springer, 2022.

Links | BibTeX

Bosio, Alberto; Menard, Daniel; Sentieys, Olivier

Approximate Computing Techniques: From Component- to Application-Level Book

Springer, 2022.

Links | BibTeX

Tatsumi, Mariko; Filip, Silviu-Ioan; White, Caroline; Sentieys, Olivier; Lemieux, Guy

Mixing Low-Precision Formats in Multiply-Accumulate Units for DNN Training Proceedings Article

In: IEEE International Conference on Field Programmable Technology (FPT), pp. 1-9, Hong Kong, Hong Kong SAR China, 2022.

Links | BibTeX

Allenet, Thibault; Briand, David; Bichler, Olivier; Sentieys, Olivier

Disentangled Loss for Low-Bit Quantization-Aware Training Proceedings Article

In: IEEE/CVF Computer Vision and Pattern Recognition Conference (CVPR), pp. 2788-2792, New Orleans, United States, 2022.

Links | BibTeX

dos Santos, Fernando Fernandes; Kritikakou, Angeliki; Sentieys, Olivier

Experimental evaluation of neutron-induced errors on a multicore RISC-V platform Proceedings Article

In: 28th IEEE International Symposium on OnLine Testing and Robust System Design (IOLTS), pp. 1-7, Torino, Italy, 2022.

Links | BibTeX

Kritikakou, Angeliki; Nikolaou, Panagiota; Rodriguez-Ferrandez, Ivan; Paturel, Joseph; Kosmidis, Leonidas; Michael, Maria K.; Sentieys, Olivier; Steenari, David

Functional and Timing Implications of Transient Faults in Critical Systems Proceedings Article

In: 28th IEEE International Symposium on OnLine Testing and Robust System Design (IOLTS), pp. 1-10, Torino, Italy, 2022.

Links | BibTeX

dos Santos, Fernando Fernandes; Kritikakou, Angeliki; Sentieys, Olivier; Rech, Paolo

Characterizing Deep Neural Networks Neutrons-Induced Error Model Proceedings Article

In: IEEE Nuclear & Space Radiation Effects Conference (NSREC), pp. 1-5, Provo, United States, 2022.

Links | BibTeX

Santos, Fernando Fernandes; Rech, Paolo; Kritikakou, Angeliki; Sentieys, Olivier

Evaluating the Impact of Mixed-Precision on Fault Propagation for Deep Neural Networks on GPUs Proceedings Article

In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 327-327, Nicosia, Italy, 2022.

Links | BibTeX

Fernandes, Fernando; Kritikakou, Angeliki; Sentieys, Olivier

Experimental evaluation of neutron-induced errors on a RISC-V processor Workshop

Poster at RISC-V Week 2022, Paris, France, 2022.

Links | BibTeX

Rokicki, Simon; Paturel, Joseph; Sentieys, Olivier

Comet: a RISC-V Core Synthesized from C++ Specifications Proceedings Article

In: Poster at Spring 2022 RISC-V Week, Paris, France, 2022.

Links | BibTeX

Sentieys, Olivier; Menard, Daniel

Customizing Number Representation and Precision Book Chapter

In: Approximate Computing Techniques - From Component- to Application-Level, Springer, 2022.

Links | BibTeX

2021

Tatsumi, Mariko; Xie, Yuxiang; White, Caroline; Filip, Silviu-Ioan; Sentieys, Olivier; Lemieux, Guy

MPTorch and MPArchimedes: Open Source Frameworks to Explore Custom Mixed- Precision Operations for DNN Training on Edge Devices Workshop

ROAD4NN 2021 - 2nd ROAD4NN Workshop: Research Open Automatic Design for Neural Networks, San Francisco, United States, 2021.

Links | BibTeX

Sentieys, Olivier

Approximate Deep Learning Accelerators: Improving performance and energy efficiency of deep-learning hardware accelerators with controlled arithmetic approximations Presentation

Lyon, France, 01.10.2021.

Links | BibTeX

Sentieys, Olivier

An Optimization Playground for Precision and Number Representation Tuning Presentation

01.07.2021.

Links | BibTeX

Ha, Van-Phu; Sentieys, Olivier

Leveraging Bayesian Optimization to Speed Up Automatic Precision Tuning Proceedings Article

In: 24th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Virtual Event, France, 2021.

Links | BibTeX

Pala, Davide; Miro-Panades, Ivan; Sentieys, Olivier

Freezer: A Specialized NVM Backup Controller for Intermittently-Powered Systems Journal Article

In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 8, pp. 1559-1572, 2021.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier; Cao, Xianghui

Real-time Imprecise Computation Tasks Mapping for DVFS-Enabled Networked Systems Journal Article

In: IEEE Internet of Things Journal, vol. 8, no. 10, pp. 8246-8258, 2021.

Links | BibTeX

Sentieys, Olivier; Filip, Silviu-Ioan; Briand, David; Novo, David; Dupuis, Etienne; O'Connor, Ian; Bosio, Alberto

AdequateDL: Approximating Deep Learning Accelerators Proceedings Article

In: DDECS 2021 - 24th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, pp. 37-40, IEEE, Vienna (virtual), Austria, 2021.

Links | BibTeX

2020

Paturel, Joseph; Kritikakou, Angeliki; Sentieys, Olivier

Fast Cross-Layer Vulnerability Analysis of Complex Hardware Designs Proceedings Article

In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 328-333, IEEE, Limassol, Cyprus, 2020.

Links | BibTeX

Ha, Van-Phu; Yuki, Tomofumi; Sentieys, Olivier

Towards Generic and Scalable Word-Length Optimization Proceedings Article

In: IEEE/ACM Design Automation and Test in Europe (DATE), pp. 1-6, Grenoble, France, 2020.

Links | BibTeX

Roux, Baptiste; Gautier, Matthieu; Sentieys, Olivier; Delahaye, Jean-Philippe

Energy-driven design space exploration of tiling-based accelerators for heterogeneous multiprocessor architectures Journal Article

In: Microprocessors and Microsystems, vol. 77, pp. 1–12, 2020, ISSN: 0141-9331.

Links | BibTeX

Kritikakou, Angeliki; Psiakis, Rafail; Catthoor, Francky; Sentieys, Olivier

Binary Classification Tree of Rigid Error Detection and Correction Techniques Journal Article

In: ACM Computing Surveys, vol. 53, no. 4, pp. 1-38, 2020, ISSN: 0360-0300.

Links | BibTeX

2019

Rokicki, Simon; Pala, Davide; Paturel, Joseph; Sentieys, Olivier

What You Simulate Is What You Synthesize: Designing a Processor Core from C++ Specifications Proceedings Article

In: 38th IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-8, IEEE, Westminster, CO, United States, 2019.

Links | BibTeX

Psiakis, Rafail; Kritikakou, Angeliki; Sentieys, Olivier; Casseau, Emmanuel

Run-time Coarse-Grained Hardware Mitigation for Multiple Faults on VLIW Processors Proceedings Article

In: Conference on Design and Architectures for Signal and Image Processing (DASIP), pp. 1-6, Montréal, Canada, 2019.

Links | BibTeX

Sosa, Joel Ortiz; Sentieys, Olivier; Roland, Christian; Killian, Cedric

Multi-Carrier Spread-Spectrum Transceiver for WiNoC Proceedings Article

In: 13th IEEE/ACM International Symposium on Networks-on-Chip (NOCS), pp. 1-2, ACM, New York, United States, 2019.

Links | BibTeX

Matoussi, Oumaima; Durand, Yves; Sentieys, Olivier; Molnos, Anca

Error Analysis of the Square Root Operation for the Purpose of Precision Tuning: a Case Study on K-means Proceedings Article

In: 30th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), pp. 1-8, IEEE, New York, United States, 2019.

Links | BibTeX

Sosa, Joel Ortiz; Sentieys, Olivier; Roland, Christian

Adaptive Transceiver for Wireless NoC to Enhance Multicast/Unicast Communication Scenarios Proceedings Article

In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 1-6, IEEE, Miami, United States, 2019.

Links | BibTeX

Rokicki, Simon; Pala, Davide; Paturel, Joseph; Sentieys, Olivier

What You Simulate Is What You Synthesize: Design of a RISC-V Core from C++ Specifications Workshop

RISC-V Workshop, Zurich, Switzerland, 2019.

Links | BibTeX

Menard, Daniel; Caffarena, Gabriel; Lopez, Juan Antonio; Novo, David; Sentieys, Olivier

Fixed-point refinement of digital signal processing systems Book Section

In: Digitally Enhanced Mixed Signal Systems, pp. 1-37, The Institution of Engineering and Technology, 2019.

Links | BibTeX

Sentieys, Olivier

Playing with Numbers for Energy Efficiency: an Introduction to Approximate Computing Presentation

Grenoble, France, 01.04.2019.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Approximation-aware Task Deployment on Asymmetric Multicore Processors Proceedings Article

In: 22nd IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1513-1518, IEEE, Florence, Italy, 2019.

Links | BibTeX

Gueguen, Mael; Sentieys, Olivier; Termier, Alexandre

Accelerating Itemset Sampling using Satisfiability Constraints on FPGA Proceedings Article

In: 22nd IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1046-1051, IEEE, Florence, Italy, 2019.

Links | BibTeX

Psiakis, Rafail; Kritikakou, Angeliki; Sentieys, Olivier

Fine-Grained Hardware Mitigation for Multiple Long-Duration Transients on VLIW Function Units Proceedings Article

In: 22nd IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 976-979, IEEE, Florence, Italy, 2019.

Links | BibTeX

Roux, Nicolas; Vrigneau, Baptiste; Sentieys, Olivier

Improving NILM by Combining Sensor Data and Linear Programming Proceedings Article

In: IEEE Sensors Applications Symposium (SAS), pp. 1-6, IEEE, Sophia Antipolis, France, 2019.

Links | BibTeX

Bosio, Alberto; Menard, Daniel; Sentieys, Olivier

A Comprehensive Analysis of Approximate Computing Techniques: From Component- to Application-Level Presentation

Tutorial at 22nd IEEE/ACM Design, Automation and Test in Europe (DATE), 01.03.2019.

Links | BibTeX

Ha, Van-Phu; Yuki, Tomofumi; Sentieys, Olivier

Noise Budgeting in Multiple-Kernel Word-Length Optimization Workshop

4th Workshop on Approximate Computing (AxC) , Florence, Italy, 2019.

Links | BibTeX

Menard, Daniel; Caffarena, Gabriel; Lopez, Juan Antonio; Novo, David; Sentieys, Olivier

Analysis of Finite Word-Length Effects in Fixed-Point Systems Book Section

In: Handbook of Signal Processing Systems, pp. 1063-1101, Springer, 2019.

Links | BibTeX

2018

Nguyen, Trung Hien; Scalart, Pascal; Gay, Mathilde; Bramerie, Laurent; Peucheret, Christophe; Agis, Fausto Gomez; Sentieys, Olivier; Simon, Jean-Claude; Joindot, Michel

New metric for IQ imbalance compensation in optical QPSK coherent systems Journal Article

In: Photonic Network Communications, vol. 36, no. 3, pp. 326-337, 2018.

Links | BibTeX

Luo, Jiating; Pham, Van-Dung; Killian, Cedric; Chillet, Daniel; O'Connor, Ian; Sentieys, Olivier; Beux, Sebastien Le

Run-Time management of energy-performance trade-off in Optical Network-on-Chip Proceedings Article

In: XXXIII Conference on Design of Circuits and Integrated Systems (DCIS), pp. 1-6, Lyon, France, 2018.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Imprecise Computation Task Mapping on Multi-Core Wireless Sensor Networks Book Section

In: Encyclopedia of Wireless Networks, pp. 1 - 6, 2018.

Links | BibTeX

Sosa, Joel Ortiz; Sentieys, Olivier; Roland, Christian

A Diversity Scheme to Enhance the Reliability of Wireless NoC in Multipath Channel Environment Proceedings Article

In: IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Torino, Italy, 2018.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores Proceedings Article

In: IEEE/ACM Embedded Systems Week (ESWEEK), pp. 1-11, Torino, Italy, 2018.

Links | BibTeX

Bosio, Alberto; Menard, Daniel; Sentieys, Olivier

A Comprehensive Analysis of Approximate Computing Techniques: From Component- to Application-Level Presentation

Tutorial at Embedded Systems Week (ESWEEK), 01.09.2018.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Controllable QoS for Imprecise Computation Tasks on DVFS Multicores with Time and Energy Constraints Journal Article

In: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, pp. 1 - 14, 2018.

Links | BibTeX

312 entries « 1 of 7 »