Research

Key words: Computer Architecture, Computer Arithmetic, Reconfigurable Hardware Accelerators, Low-Power and Energy Efficiency, Approximate Computing, Finite Arithmetic Effects, Fault Tolerance.
 

Research Themes

Past Research Themes

References

  1. Van-Phu Ha and Olivier Sentieys (2023): Maximizing Computing Accuracy on Resource-Constrained Architectures. In: 26th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Antwerp, Belgium, 2023.
  2. Alberto Bosio and Daniel Menard and Olivier Sentieys (2022): Approximate Computing Techniques: From Component- to Application-Level. Springer, 2022.
  3. Olivier Sentieys and Daniel Menard (2022): Customizing Number Representation and Precision. In: Approximate Computing Techniques - From Component- to Application-Level, Springer, 2022.
  4. Van-Phu Ha and Olivier Sentieys (2021): Leveraging Bayesian Optimization to Speed Up Automatic Precision Tuning. In: 24th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Virtual Event, France, 2021.
  5. Van-Phu Ha and Tomofumi Yuki and Olivier Sentieys (2020): Towards Generic and Scalable Word-Length Optimization. In: IEEE/ACM Design Automation and Test in Europe (DATE), pp. 1-6, Grenoble, France, 2020.
  6. Oumaima Matoussi and Yves Durand and Olivier Sentieys and Anca Molnos (2019): Error Analysis of the Square Root Operation for the Purpose of Precision Tuning: a Case Study on K-means. In: 30th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), pp. 1-8, IEEE, New York, United States, 2019.
  7. Benjamin Barrois and Olivier Sentieys and Daniel Menard (2017): The Hidden Cost of Functional Approximation Against Careful Data Sizing - A Case Study. In: IEEE/ACM Design Automation and Test in Europe (DATE), pp. 6, Lausanne, Switzerland, 2017.
  8. Benjamin Barrois and Olivier Sentieys (2017): Customizing Fixed-Point and Floating-Point Arithmetic - A Case Study in K-Means Clustering. In: IEEE International Workshop on Signal Processing Systems (SiPS), Lorient, France, 2017.
  9. Rahul Kumar Budhwani and Rengarajan Ragavan and Olivier Sentieys (2017): Taking Advantage of Correlation in Stochastic Computing. In: IEEE International Symposium on Circuits and Systems (ISCAS), Baltimore, United States, 2017.
  10. Stefano Cherubin and Giovanni Agosta and Imane Lasri and Erven Rohou and Olivier Sentieys (2017): Implications of Reduced-Precision Computations in HPC: Performance, Energy and Error. In: International Conference on Parallel Computing (ParCo), Bologna, Italy, 2017.
  11. Benjamin Barrois and Karthick Parashar and Olivier Sentieys (2016): Leveraging Power Spectral Density for Scalable System-Level Accuracy Evaluation. In: IEEE/ACM Conference on Design Automation and Test in Europe (DATE), pp. 6, Dresden, Germany, 2016.
  12. Daniel Menard and Gabriel Caffarena and Juan Antonio Lopez and David Novo and Olivier Sentieys (2019): Fixed-point refinement of digital signal processing systems. In: Digitally Enhanced Mixed Signal Systems, pp. 1-37, The Institution of Engineering and Technology, 2019.
  13. Daniel Menard and Gabriel Caffarena and Juan Antonio Lopez and David Novo and Olivier Sentieys (2019): Analysis of Finite Word-Length Effects in Fixed-Point Systems. In: Handbook of Signal Processing Systems, pp. 1063-1101, Springer, 2019.
  14. Karthick Nagaraj Parashar and Daniel Menard and Olivier Sentieys (2014): Accelerated Performance Evaluation of Fixed-Point Systems With Un-Smooth Operations. In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 4, pp. 599-612, 2014.
  15. Gaël Deest and Tomofumi Yuki and Olivier Sentieys and Steven Derrien (2014): Toward Scalable Source Level Accuracy Analysis for Floating-point to Fixed-point Conversion. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 726–733, San Jose, United States, 2014.
  16. Karthick Parashar and Daniel Menard and Olivier Sentieys (2013): A Polynomial Time Algorithm for Solving the Word-length Optimization Problem. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Diego, United States, 2013.
  17. Romuald Rocher and Daniel Ménard and Olivier Sentieys and Pascal Scalart (2012): Analytical Approach for Numerical Accuracy Estimation of Fixed-Point Systems Based on Smooth Operations. In: IEEE Transactions on Circuits and Systems. Part I, Regular Papers, vol. 59, no. 10, pp. 2326 - 2339, 2012.
  18. Thibault Allenet and David Briand and Olivier Bichler and Olivier Sentieys (2022): Disentangled Loss for Low-Bit Quantization-Aware Training. In: IEEE/CVF Computer Vision and Pattern Recognition Conference (CVPR), pp. 2788-2792, New Orleans, United States, 2022.
  19. Mariko Tatsumi and Silviu-Ioan Filip and Caroline White and Olivier Sentieys and Guy Lemieux (2022): Mixing Low-Precision Formats in Multiply-Accumulate Units for DNN Training. In: IEEE International Conference on Field Programmable Technology (FPT), pp. 1-9, Hong Kong, Hong Kong SAR China, 2022.
  20. Etienne Dupuis and Silviu-Ioan Filip and Olivier Sentieys and David Novo and Ian O'Connor and Alberto Bosio (2022): Approximations in Deep Learning. In: Approximate Computing Techniques - From Component- to Application-Level, Chapter Approximations in Deep Learning, Springer, 2022.
  21. Mael Gueguen and Olivier Sentieys and Alexandre Termier (2019): Accelerating Itemset Sampling using Satisfiability Constraints on FPGA. In: 22nd IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1046-1051, IEEE, Florence, Italy, 2019.
  22. Simon Rokicki and Davide Pala and Joseph Paturel and Olivier Sentieys (2019): What You Simulate Is What You Synthesize: Designing a Processor Core from C++ Specifications. In: 38th IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-8, IEEE, Westminster, CO, United States, 2019.
  23. Baptiste Roux and Matthieu Gautier and Olivier Sentieys and Jean-Philippe Delahaye (2020): Energy-driven design space exploration of tiling-based accelerators for heterogeneous multiprocessor architectures. In: Microprocessors and Microsystems, vol. 77, pp. 1–12, 2020, ISSN: 0141-9331.
  24. Baptiste Roux and Matthieu Gautier and Olivier Sentieys and Steven Derrien (2016): Communication-Based Power Modelling for Heterogeneous Multiprocessor Architecture. In: IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Lyon, France, 2016.
  25. Robin Bonamy and Sebastien Bilavarn and Daniel Chillet and Olivier Sentieys (2016): Power Modeling and Exploration of Dynamic and Partially Reconfigurable Systems. In: Journal of Low Power Electronics, vol. 12, no. 3, pp. 172-185, 2016.
  26. Robin Bonamy and Sebastien Bilavarn and Daniel Chillet and Olivier Sentieys (2014): Power Consumption Models for the Use of Dynamic and Partial Reconfiguration. In: Microprocessors and Microsystems, vol. 38, no. 8, pp. 860-872, 2014.
  27. Fernando Cladera and Matthieu Gautier and Olivier Sentieys (2015): Energy-Aware Computing via Adaptive Precision under Performance Constraints in OFDM Wireless Receivers. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 591 - 596, Montpellier, France, 2015, (Best Paper Award).
  28. Marcello Traiola and Angeliki Kritikakou and Olivier Sentieys (2023): harDNNing: a machine-learning-based framework for fault tolerance assessment and protection of Deep Neural Networks. In: 26th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Antwerp, Belgium, 2023.
  29. Fernando Fernandes Santos and Paolo Rech and Angeliki Kritikakou and Olivier Sentieys (2022): Evaluating the Impact of Mixed-Precision on Fault Propagation for Deep Neural Networks on GPUs. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 327-327, Nicosia, Italy, 2022.
  30. Fernando Fernandes dos Santos and Angeliki Kritikakou and Olivier Sentieys and Paolo Rech (2022): Characterizing Deep Neural Networks Neutrons-Induced Error Model. In: IEEE Nuclear & Space Radiation Effects Conference (NSREC), pp. 1-5, Provo, United States, 2022.
  31. Angeliki Kritikakou and Panagiota Nikolaou and Ivan Rodriguez-Ferrandez and Joseph Paturel and Leonidas Kosmidis and Maria K. Michael and Olivier Sentieys and David Steenari (2022): Functional and Timing Implications of Transient Faults in Critical Systems. In: 28th IEEE International Symposium on OnLine Testing and Robust System Design (IOLTS), pp. 1-10, Torino, Italy, 2022.
  32. Fernando Fernandes dos Santos and Angeliki Kritikakou and Olivier Sentieys (2022): Experimental evaluation of neutron-induced errors on a multicore RISC-V platform. In: 28th IEEE International Symposium on OnLine Testing and Robust System Design (IOLTS), pp. 1-7, Torino, Italy, 2022.
  33. Angeliki Kritikakou and Olivier Sentieys and Guillaume Hubert and Youri Helen and Jean-Francois Coulon and Patrice Deroux-Dauphin (2022): FLODAM: Cross-Layer Reliability Analysis Flow for Complex Hardware Designs. In: 25th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Antwerp, Belgium, 2022.
  34. Fernando Fernandes dos Santos and Angeliki Kritikakou and Josie Esteban Rodriguez Condia and Juan David Guerrero Balaguera and Matteo Sonza Reorda and Olivier Sentieys and Paolo Rech (2022): Characterizing a Neutron-Induced Fault Model for Deep Neural Networks. In: IEEE Transactions on Nuclear Science, 2022.
  35. Angeliki Kritikakou and Rafail Psiakis and Francky Catthoor and Olivier Sentieys (2020): Binary Classification Tree of Rigid Error Detection and Correction Techniques. In: ACM Computing Surveys, vol. 53, no. 4, pp. 1-38, 2020, ISSN: 0360-0300.
  36. Rafail Psiakis and Angeliki Kritikakou and Olivier Sentieys (2022): Dynamic fault-tolerant VLIW processor with heterogeneous Function Units. In: Microprocessors and Microsystems: Embedded Hardware Design, vol. 93, pp. 104564, 2022.
  37. Rafail Psiakis and Angeliki Kritikakou and Olivier Sentieys (2017): NEDA: NOP Exploitation with Dependency Awareness for Reliable VLIW Processors. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 391-396, Bochum, Germany, 2017.
  38. Rafail Psiakis and Angeliki Kritikakou and Olivier Sentieys (2019): Fine-Grained Hardware Mitigation for Multiple Long-Duration Transients on VLIW Function Units. In: 22nd IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 976-979, IEEE, Florence, Italy, 2019.
  39. Joseph Paturel and Angeliki Kritikakou and Olivier Sentieys (2020): Fast Cross-Layer Vulnerability Analysis of Complex Hardware Designs. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 328-333, IEEE, Limassol, Cyprus, 2020.
  40. Syed M. A. H. Jafri and J. Stanislaw Piestrak and Olivier Sentieys and Sébastien Pillement (2014): Design of the coarse-grained reconfigurable architecture DART with on-line error detection. In: Microprocessors and Microsystems, vol. 38, no. 2, pp. 124-136, 2014.
  41. Davide Pala and Ivan Miro-Panades and Olivier Sentieys (2021): Freezer: A Specialized NVM Backup Controller for Intermittently-Powered Systems. In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 8, pp. 1559-1572, 2021.
  42. Antoine Courtay and Mickael Le Gentil and Olivier Berder and Arnaud Carer and Pascal Scalart and Olivier Sentieys (2018): Zyggie: A Wireless Body Area Network platform for indoor positioning and motion tracking. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-5, IEEE, Florence, Italy, 2018.
  43. Rengarajan Ragavan and Benjamin Barrois and Cedric Killian and Olivier Sentieys (2017): Pushing the Limits of Voltage Over-Scaling for Error-Resilient Applications. In: IEEE/ACM Design Automation and Test in Europe (DATE), pp. 6, Lausanne, Switzerland, 2017.
  44. Rengarajan Ragavan and Cedric Killian and Olivier Sentieys (2016): Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window. In: IEEE Annual Symposium on VLSI (ISVLSI), pp. 325 - 330, Pittsburgh, United States, 2016.
  45. Florent Berthier and Edith Beigne and Frédéric Heitzmann and Olivier Debicki and Jean-Frédéric Christmann and Alexandre Valentian and Olivier Billoint and Esteve Amat and Dominique Morche and Soundous Chairat and Olivier Sentieys (2016): UTBB FDSOI suitability for IoT applications: Investigations at device, design and architectural levels. In: Solid-State Electronics, vol. 125, pp. 14 - 24, 2016.
  46. Muhammad Mahtab Alam and Elyes Ben Hamida and Olivier Berder and Olivier Sentieys and Daniel Menard (2016): A Heuristic Self-Adaptive Medium Access Control for Resource-Constrained WBAN Systems. In: IEEE Access, vol. 4, pp. 1287-1300, 2016.
  47. Florent Berthier and Edith Beigne and Pascal Vivet and Olivier Sentieys (2015): Asynchronous Wake Up Controller for WSN's Microcontroller: Power Simulation and Specifications. In: 21st IEEE International Symposium on Asynchronous Circuits and Systems, Fresh Ideas, Mountain View, United States, 2015.
  48. Trong Nhan Le and Alain Pegatoquet and Olivier Berder and Olivier Sentieys and Arnaud Carer (2015): Energy Neutral Design Framework for Supercapacitor-based Autonomous Wireless Sensor Networks. In: ACM Journal on Emerging Technologies in Computing Systems, vol. 12, no. 2, pp. 19:1–19:21, 2015.
  49. Trong-Nhan Le and Alain Pegatoquet and Olivier Berder and Olivier Sentieys (2015): Energy-Efficient Power Manager and MAC Protocol for Multi-Hop Wireless Sensor Networks Powered by Periodic Energy Harvesting Sources. In: IEEE Sensors Journal, vol. 15, no. 12, pp. 7208 – 7220, 2015.
  50. T. N. Le and A. Pegatoquet and Olivier Berder and Olivier Sentieys (2014): A Power Manager with Balanced Quality of Service for Energy-Harvesting Wireless Sensor Nodes. In: International Workshop on Energy Neutral Sensing Systems (ENSSys) - ACM SenSys Conference, pp. 19-24, Memphis, United States, 2014.
  51. Yohann R. J. Thomas and Matthieu Picot and Arnaud Carer and Olivier Berder and Olivier Sentieys and Frédéric Barrière (2013): A single sediment-Microbial Fuel Cell powering a wireless telecommunication system. In: Journal of Power Sources, vol. 241, pp. 703-708, 2013.
  52. Adeel Pasha and Steven Derrien and Olivier Sentieys (2011): System Level Synthesis for Wireless Sensor Node Controllers: A Complete Design Flow. In: ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 17, no. 1, pp. 2.1–2.24, 2011.
  53. Vivek D. Tovinakere and Olivier Sentieys and Steven Derrien (2012): A Semiemperical Model for Wakeup Time Estimation in Power-Gated Logic Clusters. In: Proc. of the 49th IEEE/ACM Design Automation Conference (DAC), pp. 48-55, San Francisco, CA, USA, 2012, ISBN: 978-1-4503-1199-1.
  54. M. A. Pasha and S. Derrien and O. Sentieys (2010): A Complete Design-Flow for the Generation of Ultra Low-Power WSN Node Architectures Based on Micro-Tasking. In: Proc. of the 47th IEEE/ACM Design Automation Conference (DAC), pp. 693 - 698, Anaheim, CA, USA, 2010.
  55. Christophe Huriaux and Antoine Courtay and Olivier Sentieys (2015): Design Flow and Run-Time Management for Compressed FPGA Configurations. In: IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1551-1554, Grenoble, France, 2015.
  56. Christophe Huriaux and Olivier Sentieys and Russell Tessier (2016): Effects of I/O Routing through Column Interfaces in Embedded FPGA Fabrics. In: 26th International Conference on Field Programmable Logic and Applications (FPL), IEEE, Lausanne, Switzerland, 2016.
  57. Benedikt Janssen and Fynn Schwiegelshohn and Martijn Koedam and Francois Duhem and Leonard Masing and Stephan Werner and Christophe Huriaux and Antoine Courtay and Emilie Wheatley and Kees Goossens and Fabrice Lemonnier and Philippe Millet and Jurgen Becker and Olivier Sentieys and Michael Hubner (2015): Designing Applications for Heterogeneous Many-Core Architectures with the FlexTiles Platform. In: 15th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), pp. 9, Samos Island, Greece, 2015.
  58. Christophe Huriaux and Olivier Sentieys and Russell Tessier (2014): FPGA Architecture Enhancements to Support Heterogeneous Partially Reconfigurable Regions. In: IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 30, IEEE, 2014.
  59. Olivier Sentieys and Antoine Courtay and Christophe Huriaux and Sébastien Pillement (2014): Method And Device For Programming an Fpga. 14305143.1, 2014.
  60. Christophe Huriaux and Olivier Sentieys and Russell Tessier (2014): FPGA Architecture Support for Heterogeneous, Relocatable Partial Bitstreams. In: 24th International Conference on Field Programmable Logic and Applications (FPL), IEEE, Munich, Germany, 2014.
  61. Vivek Tovinakere Dwarakanath and Olivier Sentieys and Steven Derrien and Christophe Huriaux (2014): Low Power Reconfigurable Controllers for Wireless Sensor Network Nodes. In: 22nd IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 230-233, Boston, United States, 2014.
  62. Joel Ortiz Sosa and Olivier Sentieys and Christian Roland (2019): Adaptive Transceiver for Wireless NoC to Enhance Multicast/Unicast Communication Scenarios. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 1-6, IEEE, Miami, United States, 2019.
  63. Joel Ortiz Sosa and Olivier Sentieys and Christian Roland and Cedric Killian (2019): Multi-Carrier Spread-Spectrum Transceiver for WiNoC. In: 13th IEEE/ACM International Symposium on Networks-on-Chip (NOCS), pp. 1-2, ACM, New York, United States, 2019.
  64. Joel Ortiz Sosa and Olivier Sentieys and Christian Roland (2018): A Diversity Scheme to Enhance the Reliability of Wireless NoC in Multipath Channel Environment. In: IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Torino, Italy, 2018.
  65. Jiating Luo and Cedric Killian and Sebastien Le Beux and Daniel Chillet and Olivier Sentieys and Ian O'Connor (2018): Offline Optimization of Wavelength Allocation and Laser Power in Nanophotonic Interconnects. In: ACM Journal on Emerging Technologies in Computing Systems, vol. 14, no. 2, pp. 1 - 19, 2018.
  66. J. Luo and A. Elantably and D. Pham and C. Killian and D. Chillet and S. Le Beux and Olivier Sentieys and I. O'Connor (2017): Performance and Energy Aware Wavelength Allocation on Ring-Based WDM 3D Optical NoC. In: IEEE/ACM Design Automation and Test in Europe (DATE), pp. 6, Lausanne, Switzerland, 2017.
  67. S. Le Beux and Olivier Sentieys and C. Killian and D. Chillet and D. Pham and I. O'Connor (2017): Energy and Performance Trade-off in Nanophotonic Interconnects using Coding Techniques. In: IEEE/ACM Design Automation Conference (DAC), pp. 6, Austin, US, 2017.
  68. Xinmei Li and Lei Mo and Angeliki Kritikakou and Olivier Sentieys (2022): Approximation-aware Task Deployment on Heterogeneous Multi-core Platforms with DVFS. In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 1-15, 2022.
  69. Lei Mo and Angeliki Kritikakou and Olivier Sentieys and Xianghui Cao (2021): Real-time Imprecise Computation Tasks Mapping for DVFS-Enabled Networked Systems. In: IEEE Internet of Things Journal, vol. 8, no. 10, pp. 8246-8258, 2021.
  70. Lei Mo and Angeliki Kritikakou and Olivier Sentieys (2018): Controllable QoS for Imprecise Computation Tasks on DVFS Multicores with Time and Energy Constraints. In: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, pp. 1 - 14, 2018.
  71. Lei Mo and Angeliki Kritikakou and Olivier Sentieys (2018): Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores. In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 1 - 10, 2018.
  72. Lei Mo and Angeliki Kritikakou and Olivier Sentieys (2017): Decomposed Task Mapping to Maximize QoS in Energy-Constrained Real-Time Multicores. In: 35th IEEE International Conference on Computer Design (ICCD), pp. 6, Boston, United States, 2017.
  73. Lei Mo and Angeliki Kritikakou and Olivier Sentieys (2019): Approximation-aware Task Deployment on Asymmetric Multicore Processors. In: 22nd IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1513-1518, IEEE, Florence, Italy, 2019.
  74. Baptiste Roux and Matthieu Gautier and Olivier Sentieys and Steven Derrien (2016): Communication-Based Power Modelling for Heterogeneous Multiprocessor Architecture. In: IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Lyon, France, 2016.
  75. (): . .
  76. Timo Stripf and Oliver Oey and Thomas Bruckschloegla and Juergen Becker and Gerard Rauwerda and Kim Sunesen and George Goulas and Panayiotis Alefragisc and Nikolaos S. Voros and Steven Derrien and Olivier Sentieys and Nikolaos Kavvadias and Grigoris Dimitroulakos and Kostas Masselos and Dimitrios Kritharidis and Nikolaos Mitas and Thomas Perschke (2013): Compiling Scilab to high performance embedded multicore systems. In: Microprocessors and Microsystems, vol. 37, no. 8, pp. 1033-1049, 2013.
  77. Nicolas Roux and Baptiste Vrigneau and Olivier Sentieys (2019): Improving NILM by Combining Sensor Data and Linear Programming. In: IEEE Sensors Applications Symposium (SAS), pp. 1-6, IEEE, Sophia Antipolis, France, 2019.
  78. Nicolas Roux and Baptiste Vrigneau and Olivier Sentieys (2018): Estimating Power Loads from Partial Appliance States. 2018, (Poster).
  79. Xuan-Chien Le and Baptiste Vrigneau and Olivier Sentieys (2015): l1-norm Minimization Based Algorithm for Non-Intrusive Load Monitoring. In: IEEE International Conference on Pervasive Computing and Communication Workshops (PerCom Workshops), IEEE Workshop on Pervasive Energy Services, pp. 299 - 304, St. Louis, United States, 2015.
  80. (): . .
  81. Muhammad Mahtab Alam and Elyes Ben Hamida and Olivier Berder and Olivier Sentieys and Daniel Menard (2016): A Heuristic Self-Adaptive Medium Access Control for Resource-Constrained WBAN Systems. In: IEEE Access, vol. 4, pp. 1287-1300, 2016.
  82. Le-Quang-Vinh Tran and Olivier Berder and Olivier Sentieys (2014): RIC-MAC: a MAC Protocol for Low-Power Cooperative Wireless Sensor Networks. In: IEEE Wireless Communications and Networking Conference (WCNC), pp. 1944-1949, Istanbul, Turkey, 2014.
  83. Amine Didioui and Carolyn Bernier and Dominique Morche and Olivier Sentieys (2013): HarvWSNet: A co-simulation framework for energy harvesting wireless sensor networks. In: International Conference on Computing, Networking and Communications (ICNC), pp. 808-812, San Diego, United States, 2013.
  84. Amine Didioui and Carolyn Bernier and Le-Quang-Vinh Tran and Olivier Sentieys (2014): EnvAdapt: An Energy-Aware Simulation Framework for Power-Scalable Transceivers for Wireless Sensor Networks. In: 20th European Wireless Conference, pp. 1-6, Barcelona, Spain, 2014.
  85. Duc-Long Nguyen and Le-Quang-Vinh Tran and Olivier Berder and Olivier Sentieys (2013): A Low-Latency and Energy-Efficient MAC Protocol for Cooperative Wireless Sensor Networks. In: Global Communications Conference (Globecom), IEEE Atlanta, United States, 2013.
  86. Pramod Udupa and Olivier Sentieys and Pascal Scalart (2013): A Block-Parallel Architecture for Initial and Fine Synchronization in OFDM Systems. In: IEEE International Conference on Communications (ICC), pp. 4761-4765, Budapest, Hungary, 2013.
  87. Mahtab Alam and Olivier Berder and Daniel Menard and Olivier Sentieys (2012): TAD-MAC: traffic-aware dynamic MAC protocol for wireless body area sensor networks. In: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 2, no. 1, pp. 109 -119, 2012.
  88. Trung Hien Nguyen and Pascal Scalart and Mathilde Gay and Laurent Bramerie and Christophe Peucheret and Fausto Gomez Agis and Olivier Sentieys and Jean-Claude Simon and Michel Joindot (2018): New metric for IQ imbalance compensation in optical QPSK coherent systems. In: Photonic Network Communications, vol. 36, no. 3, pp. 326-337, 2018.
  89. Trung Hien Nguyen and Mathilde Gay and Fausto Gomez Agis and Sébastien Lobo and Olivier Sentieys and Jean-Claude Simon and Christophe Peucheret and Laurent Bramerie (2017): Impact of ADC parameters on linear optical sampling systems. In: Optics Communications, vol. 402, pp. 362-367, 2017.
  90. Trung Hien Nguyen and Pascal Scalart and Mathilde Gay and Laurent Bramerie and Olivier Sentieys and Jean-Claude Simon and Christophe Peucheret and Michel Joindot (2017): Blind transmitter IQ imbalance compensation in M-QAM optical coherent systems. In: IEEE/OSA Journal of Optical Communications and Networking, vol. 9, no. 9, pp. D42-D50, 2017.
  91. Trung Hien Nguyen and Pascal Scalart and Mathilde Gay and Laurent Bramerie and Christophe Peucheret and Olivier Sentieys and Jean-Claude Simon and Michel Joindot (2016): Bi-harmonic decomposition-based maximum loglikelihood estimator for carrier phase estimation of coherent optical M-QAM. In: Optical Fiber Communication Conference (OFC), pp. Tu3K.3, Optical Society of America OSA (ISBN: 978-1-943580-07-1), Anaheim, CA, United States, 2016.
  92. Trung-Hien Nguyen and Pascal Scalart and Mathilde Gay and Laurent Bramerie and Christophe Peucheret and Ti Nguyen-Ti and Matthieu Gautier and Olivier Sentieys and Jean-Claude Simon and Michel Joindot (2016): Blind Adaptive Transmitter IQ Imbalance Compensation in M-QAM Optical Coherent Systems. In: IEEE International Conference on Communication (ICC), Kuala Lumpur, Malaysia, 2016.
  93. Trung Hien Nguyen and Pascal Scalart and Mathilde Gay and Laurent Bramerie and Olivier Sentieys and Jean-Claude Simon and Christophe Peucheret and Michel Joindot (2017): Blind transmitter IQ imbalance compensation in M-QAM optical coherent systems. In: IEEE/OSA Journal of Optical Communications and Networking, vol. 9, no. 9, pp. D42-D50, 2017.
  94. Trung Hien Nguyen and Kevin Lengle and Alexandre Bazin and Laurent Bramerie and Christophe Peucheret and Mathilde Gay and Olivier Sentieys and Jean-Claude Simon and Rama Raj and Fabrice Raineri (2014): Phase-preserving power limiting function using InP on SOI photonic crystal nanocavity. In: IEEE Photonics Technology Letters, vol. 26, no. 12, pp. 1215-1218, 2014.
  95. Trung Hien Nguyen and Fausto Gomez Agis and Laurent Bramerie and Mathilde Gay and Jean-Claude Simon and Olivier Sentieys (2013): Impact of Sampling-Source Extinction Ratio in Linear Optical Sampling. In: IEEE Photonics Technology Letters, vol. 27, no. 7, pp. 663-666, 2013.
  96. Trung Hien Nguyen and M Gay and L Bramerie and Kevin Lengle and Christophe Peucheret and O Sentieys and Jean-Claude Simon and A Bazin and R Raj and F Raineri (2015): Nonlinear phase noise reduction for 20-Gbit/s NRZ-QPSK signals using InP on SOI photonic crystal nanocavity. In: Optical Fiber Communication Conference (OFC), pp. Tu2F.3, OSA (ISBN: 978-1-55752-937-4), Los Angeles, California, USA, United States, 2015.
  97. Trung Hien Nguyen and Pascal Scalart and Michel Joindot and Mathilde Gay and Laurent Bramerie and Christophe Peucheret and Arnaud Carer and Jean-Claude Simon and Olivier Sentieys (2015): Joint Simple Blind IQ Imbalance Compensation and Adaptive Equalization for 16-QAM Optical Communications. In: IEEE International Conference on Communications, pp. 4913 – 4918, IEEE, Londres, United Kingdom, 2015.
  98. R. Santoro and O. Sentieys and S. Roy (2009): On-Line Monitoring of Random Number Generators for Embedded Security. In: Proc. of the IEEE International Symposium on Circuits and Systems, ISCAS 2009, pp. 3050 - 3053, Taipei, Taiwan, 2009.
  99. R. Santoro and O. Sentieys and S. Roy (2009): On-the-Fly Evaluation of FPGA-Based True Random Number Generator. In: Proc. of the IEEE Computer Society Annual Symposium on VLSI, ISVLSI'09, pp. 55-60, Tampa, Florida, USA, 2009.
  100. Alexis Aulery and Jean-Philippe Diguet and Olivier Sentieys and Christian Roland (2015): Low-complexity energy proportional posture/gesture recognition based on WBSN. In: 12th IEEE Int. Conference on Wearable and Implantable Body Sensor Networks (BSN), pp. 1 – 6, MIT, Cambridge, United States, 2015.
  101. Alexis Aulery and Christian Roland and Jean-Philippe Diguet and Zheng Zhongwei and Olivier Sentieys and Pascal Scalart (2015): Radio Signature Based Posture Recognition Using WBSN. In: The 14th International Conference on Information Processing in Sensor Networks (IPSN), Poster Abstract, pp. 322-323, Seattle, United States, 2015.
  102. Rizwan Masood and Roxana Burghelea and Arnaud Carer and Mickaël Le Gentil and Olivier Sentieys and Patrice Pajusco and Christian Person and Ronan Sauleau (2014): Indoor Off-Body Channel Measurements using miniaturized Chip Antennas with Pattern Diversity. In: 44th European Microwave Conference (EuMC), Rome, Italy, 2014.
  103. M. M. Alam and O. Berder and D. Menard and O. Sentieys (2012): Latency-Energy Optimized MAC Protocol for Body Sensor Networks. In: Ninth International Conference on Wearable and Implantable Body Sensor Networks (BSN), pp. 67 -72, 2012.