Publications

HAL open access archive: http://hal.archives-ouvertes.fr/aut/sentieys/

Google Scholar: http://scholar.google.fr/citations?hl=en&user=RYvzLV8AAAAJ

Publications (full list since 2002)

Publications by year:

2023, 2022, 2021, 2020, 2019, 2018,2017201620152014, 201320122011201020092008, 2007200620052004200320022001-1993

Selected Recent Publications

67 entries « 1 of 2 »

Journal Articles

Li, Xinmei; Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Approximation-aware Task Deployment on Heterogeneous Multi-core Platforms with DVFS Journal Article

In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 1-15, 2022.

Links | BibTeX

dos Santos, Fernando Fernandes; Kritikakou, Angeliki; Condia, Josie Esteban Rodriguez; Balaguera, Juan David Guerrero; Reorda, Matteo Sonza; Sentieys, Olivier; Rech, Paolo

Characterizing a Neutron-Induced Fault Model for Deep Neural Networks Journal Article

In: IEEE Transactions on Nuclear Science, 2022.

Links | BibTeX

Psiakis, Rafail; Kritikakou, Angeliki; Sentieys, Olivier

Dynamic fault-tolerant VLIW processor with heterogeneous Function Units Journal Article

In: Microprocessors and Microsystems: Embedded Hardware Design, vol. 93, pp. 104564, 2022.

Links | BibTeX

Pala, Davide; Miro-Panades, Ivan; Sentieys, Olivier

Freezer: A Specialized NVM Backup Controller for Intermittently-Powered Systems Journal Article

In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 8, pp. 1559-1572, 2021.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier; Cao, Xianghui

Real-time Imprecise Computation Tasks Mapping for DVFS-Enabled Networked Systems Journal Article

In: IEEE Internet of Things Journal, vol. 8, no. 10, pp. 8246-8258, 2021.

Links | BibTeX

Kritikakou, Angeliki; Psiakis, Rafail; Catthoor, Francky; Sentieys, Olivier

Binary Classification Tree of Rigid Error Detection and Correction Techniques Journal Article

In: ACM Computing Surveys, vol. 53, no. 4, pp. 1-38, 2020, ISSN: 0360-0300.

Links | BibTeX

Roux, Baptiste; Gautier, Matthieu; Sentieys, Olivier; Delahaye, Jean-Philippe

Energy-driven design space exploration of tiling-based accelerators for heterogeneous multiprocessor architectures Journal Article

In: Microprocessors and Microsystems, vol. 77, pp. 1–12, 2020, ISSN: 0141-9331.

Links | BibTeX

Nguyen, Trung Hien; Scalart, Pascal; Gay, Mathilde; Bramerie, Laurent; Peucheret, Christophe; Agis, Fausto Gomez; Sentieys, Olivier; Simon, Jean-Claude; Joindot, Michel

New metric for IQ imbalance compensation in optical QPSK coherent systems Journal Article

In: Photonic Network Communications, vol. 36, no. 3, pp. 326-337, 2018.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Controllable QoS for Imprecise Computation Tasks on DVFS Multicores with Time and Energy Constraints Journal Article

In: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, pp. 1 - 14, 2018.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores Journal Article

In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 1 - 10, 2018.

Links | BibTeX

Luo, Jiating; Killian, Cedric; Beux, Sebastien Le; Chillet, Daniel; Sentieys, Olivier; O'Connor, Ian

Offline Optimization of Wavelength Allocation and Laser Power in Nanophotonic Interconnects Journal Article

In: ACM Journal on Emerging Technologies in Computing Systems, vol. 14, no. 2, pp. 1 - 19, 2018.

Links | BibTeX

Nguyen, Trung Hien; Gay, Mathilde; Agis, Fausto Gomez; Lobo, Sébastien; Sentieys, Olivier; Simon, Jean-Claude; Peucheret, Christophe; Bramerie, Laurent

Impact of ADC parameters on linear optical sampling systems Journal Article

In: Optics Communications, vol. 402, pp. 362-367, 2017.

Links | BibTeX

Nguyen, Trung Hien; Scalart, Pascal; Gay, Mathilde; Bramerie, Laurent; Sentieys, Olivier; Simon, Jean-Claude; Peucheret, Christophe; Joindot, Michel

Blind transmitter IQ imbalance compensation in M-QAM optical coherent systems Journal Article

In: IEEE/OSA Journal of Optical Communications and Networking, vol. 9, no. 9, pp. D42-D50, 2017.

Links | BibTeX

Bonamy, Robin; Bilavarn, Sebastien; Chillet, Daniel; Sentieys, Olivier

Power Modeling and Exploration of Dynamic and Partially Reconfigurable Systems Journal Article

In: Journal of Low Power Electronics, vol. 12, no. 3, pp. 172-185, 2016.

Links | BibTeX

Le, Trong-Nhan; Pegatoquet, Alain; Berder, Olivier; Sentieys, Olivier

Energy-Efficient Power Manager and MAC Protocol for Multi-Hop Wireless Sensor Networks Powered by Periodic Energy Harvesting Sources Journal Article

In: IEEE Sensors Journal, vol. 15, no. 12, pp. 7208 – 7220, 2015.

Links | BibTeX

Le, Trong Nhan; Pegatoquet, Alain; Berder, Olivier; Sentieys, Olivier; Carer, Arnaud

Energy Neutral Design Framework for Supercapacitor-based Autonomous Wireless Sensor Networks Journal Article

In: ACM Journal on Emerging Technologies in Computing Systems, vol. 12, no. 2, pp. 19:1–19:21, 2015.

Links | BibTeX

Bonamy, Robin; Bilavarn, Sebastien; Chillet, Daniel; Sentieys, Olivier

Power Consumption Models for the Use of Dynamic and Partial Reconfiguration Journal Article

In: Microprocessors and Microsystems, vol. 38, no. 8, pp. 860-872, 2014.

Links | BibTeX

Books

Bosio, Alberto; Menard, Daniel; Sentieys, Olivier

Approximate Computing Techniques: From Component- to Application-Level Book

Springer, 2022.

Links | BibTeX

Book Chapters

Dupuis, Etienne; Filip, Silviu-Ioan; Sentieys, Olivier; Novo, David; O'Connor, Ian; Bosio, Alberto

Approximations in Deep Learning Book Chapter

In: Approximate Computing Techniques - From Component- to Application-Level, Chapter Approximations in Deep Learning, Springer, 2022.

Links | BibTeX

Sentieys, Olivier; Menard, Daniel

Customizing Number Representation and Precision Book Chapter

In: Approximate Computing Techniques - From Component- to Application-Level, Springer, 2022.

Links | BibTeX

Book Sections

Menard, Daniel; Caffarena, Gabriel; Lopez, Juan Antonio; Novo, David; Sentieys, Olivier

Fixed-point refinement of digital signal processing systems Book Section

In: Digitally Enhanced Mixed Signal Systems, pp. 1-37, The Institution of Engineering and Technology, 2019.

Links | BibTeX

Menard, Daniel; Caffarena, Gabriel; Lopez, Juan Antonio; Novo, David; Sentieys, Olivier

Analysis of Finite Word-Length Effects in Fixed-Point Systems Book Section

In: Handbook of Signal Processing Systems, pp. 1063-1101, Springer, 2019.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Imprecise Computation Task Mapping on Multi-Core Wireless Sensor Networks Book Section

In: Encyclopedia of Wireless Networks, pp. 1 - 6, 2018.

Links | BibTeX

Proceedings Articles

Traiola, Marcello; Kritikakou, Angeliki; Sentieys, Olivier

harDNNing: a machine-learning-based framework for fault tolerance assessment and protection of Deep Neural Networks Proceedings Article

In: 26th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Antwerp, Belgium, 2023.

Links | BibTeX

Ha, Van-Phu; Sentieys, Olivier

Maximizing Computing Accuracy on Resource-Constrained Architectures Proceedings Article

In: 26th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Antwerp, Belgium, 2023.

Links | BibTeX

Kritikakou, Angeliki; Sentieys, Olivier; Hubert, Guillaume; Helen, Youri; Coulon, Jean-Francois; Deroux-Dauphin, Patrice

FLODAM: Cross-Layer Reliability Analysis Flow for Complex Hardware Designs Proceedings Article

In: 25th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Antwerp, Belgium, 2022.

Links | BibTeX

dos Santos, Fernando Fernandes; Kritikakou, Angeliki; Sentieys, Olivier; Rech, Paolo

Characterizing Deep Neural Networks Neutrons-Induced Error Model Proceedings Article

In: IEEE Nuclear & Space Radiation Effects Conference (NSREC), pp. 1-5, Provo, United States, 2022.

Links | BibTeX

Allenet, Thibault; Briand, David; Bichler, Olivier; Sentieys, Olivier

Disentangled Loss for Low-Bit Quantization-Aware Training Proceedings Article

In: IEEE/CVF Computer Vision and Pattern Recognition Conference (CVPR), pp. 2788-2792, New Orleans, United States, 2022.

Links | BibTeX

Santos, Fernando Fernandes; Rech, Paolo; Kritikakou, Angeliki; Sentieys, Olivier

Evaluating the Impact of Mixed-Precision on Fault Propagation for Deep Neural Networks on GPUs Proceedings Article

In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 327-327, Nicosia, Italy, 2022.

Links | BibTeX

dos Santos, Fernando Fernandes; Kritikakou, Angeliki; Sentieys, Olivier

Experimental evaluation of neutron-induced errors on a multicore RISC-V platform Proceedings Article

In: 28th IEEE International Symposium on OnLine Testing and Robust System Design (IOLTS), pp. 1-7, Torino, Italy, 2022.

Links | BibTeX

Kritikakou, Angeliki; Nikolaou, Panagiota; Rodriguez-Ferrandez, Ivan; Paturel, Joseph; Kosmidis, Leonidas; Michael, Maria K.; Sentieys, Olivier; Steenari, David

Functional and Timing Implications of Transient Faults in Critical Systems Proceedings Article

In: 28th IEEE International Symposium on OnLine Testing and Robust System Design (IOLTS), pp. 1-10, Torino, Italy, 2022.

Links | BibTeX

Tatsumi, Mariko; Filip, Silviu-Ioan; White, Caroline; Sentieys, Olivier; Lemieux, Guy

Mixing Low-Precision Formats in Multiply-Accumulate Units for DNN Training Proceedings Article

In: IEEE International Conference on Field Programmable Technology (FPT), pp. 1-9, Hong Kong, Hong Kong SAR China, 2022.

Links | BibTeX

Ha, Van-Phu; Sentieys, Olivier

Leveraging Bayesian Optimization to Speed Up Automatic Precision Tuning Proceedings Article

In: 24th IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1-6, Virtual Event, France, 2021.

Links | BibTeX

Paturel, Joseph; Kritikakou, Angeliki; Sentieys, Olivier

Fast Cross-Layer Vulnerability Analysis of Complex Hardware Designs Proceedings Article

In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 328-333, IEEE, Limassol, Cyprus, 2020.

Links | BibTeX

Ha, Van-Phu; Yuki, Tomofumi; Sentieys, Olivier

Towards Generic and Scalable Word-Length Optimization Proceedings Article

In: IEEE/ACM Design Automation and Test in Europe (DATE), pp. 1-6, Grenoble, France, 2020.

Links | BibTeX

Rokicki, Simon; Pala, Davide; Paturel, Joseph; Sentieys, Olivier

What You Simulate Is What You Synthesize: Designing a Processor Core from C++ Specifications Proceedings Article

In: 38th IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-8, IEEE, Westminster, CO, United States, 2019.

Links | BibTeX

Sosa, Joel Ortiz; Sentieys, Olivier; Roland, Christian; Killian, Cedric

Multi-Carrier Spread-Spectrum Transceiver for WiNoC Proceedings Article

In: 13th IEEE/ACM International Symposium on Networks-on-Chip (NOCS), pp. 1-2, ACM, New York, United States, 2019.

Links | BibTeX

Matoussi, Oumaima; Durand, Yves; Sentieys, Olivier; Molnos, Anca

Error Analysis of the Square Root Operation for the Purpose of Precision Tuning: a Case Study on K-means Proceedings Article

In: 30th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), pp. 1-8, IEEE, New York, United States, 2019.

Links | BibTeX

Gueguen, Mael; Sentieys, Olivier; Termier, Alexandre

Accelerating Itemset Sampling using Satisfiability Constraints on FPGA Proceedings Article

In: 22nd IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1046-1051, IEEE, Florence, Italy, 2019.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Approximation-aware Task Deployment on Asymmetric Multicore Processors Proceedings Article

In: 22nd IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 1513-1518, IEEE, Florence, Italy, 2019.

Links | BibTeX

Psiakis, Rafail; Kritikakou, Angeliki; Sentieys, Olivier

Fine-Grained Hardware Mitigation for Multiple Long-Duration Transients on VLIW Function Units Proceedings Article

In: 22nd IEEE/ACM Design, Automation and Test in Europe (DATE), pp. 976-979, IEEE, Florence, Italy, 2019.

Links | BibTeX

Roux, Nicolas; Vrigneau, Baptiste; Sentieys, Olivier

Improving NILM by Combining Sensor Data and Linear Programming Proceedings Article

In: IEEE Sensors Applications Symposium (SAS), pp. 1-6, IEEE, Sophia Antipolis, France, 2019.

Links | BibTeX

Sosa, Joel Ortiz; Sentieys, Olivier; Roland, Christian

A Diversity Scheme to Enhance the Reliability of Wireless NoC in Multipath Channel Environment Proceedings Article

In: IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Torino, Italy, 2018.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores Proceedings Article

In: IEEE/ACM Embedded Systems Week (ESWEEK), pp. 1-11, Torino, Italy, 2018.

Links | BibTeX

Courtay, Antoine; Gentil, Mickael Le; Berder, Olivier; Carer, Arnaud; Scalart, Pascal; Sentieys, Olivier

Zyggie: A Wireless Body Area Network platform for indoor positioning and motion tracking Proceedings Article

In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-5, IEEE, Florence, Italy, 2018.

Links | BibTeX

Mo, Lei; Kritikakou, Angeliki; Sentieys, Olivier

Decomposed Task Mapping to Maximize QoS in Energy-Constrained Real-Time Multicores Proceedings Article

In: 35th IEEE International Conference on Computer Design (ICCD), pp. 6, Boston, United States, 2017.

Links | BibTeX

Barrois, Benjamin; Sentieys, Olivier

Customizing Fixed-Point and Floating-Point Arithmetic - A Case Study in K-Means Clustering Proceedings Article

In: IEEE International Workshop on Signal Processing Systems (SiPS), Lorient, France, 2017.

Links | BibTeX

Cherubin, Stefano; Agosta, Giovanni; Lasri, Imane; Rohou, Erven; Sentieys, Olivier

Implications of Reduced-Precision Computations in HPC: Performance, Energy and Error Proceedings Article

In: International Conference on Parallel Computing (ParCo), Bologna, Italy, 2017.

Links | BibTeX

Psiakis, Rafail; Kritikakou, Angeliki; Sentieys, Olivier

NEDA: NOP Exploitation with Dependency Awareness for Reliable VLIW Processors Proceedings Article

In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 391-396, Bochum, Germany, 2017.

Links | BibTeX

Budhwani, Rahul Kumar; Ragavan, Rengarajan; Sentieys, Olivier

Taking Advantage of Correlation in Stochastic Computing Proceedings Article

In: IEEE International Symposium on Circuits and Systems (ISCAS), Baltimore, United States, 2017.

Links | BibTeX

67 entries « 1 of 2 »

Leave a Reply